Ultra-Low-Energy DSP Processor Design for Many-Core Parallel Applications

Publish Year: 1399
نوع سند: مقاله ژورنالی
زبان: English
View: 242

This Paper With 14 Page And PDF Format Ready To Download

  • Certificate
  • من نویسنده این مقاله هستم

استخراج به نرم افزارهای پژوهشی:

لینک ثابت به این Paper:

شناسه ملی سند علمی:

JR_JECEI-8-1_007

تاریخ نمایه سازی: 23 آذر 1399

Abstract:

Background and Objectives: Digital signal processors are widely used in energy constrained applications in which battery lifetime is a critical concern. Accordingly, designing ultra-low-energy processors is a major concern. In this work and in the first step, we propose a sub-threshold DSP processor. Methods: As our baseline architecture, we use a modified version of an existing ultra-low-power general purpose processor. Afterwards, we make some modifications to add new instructions to the processor instruction set for better adapting to signal processing applications. In the second step, employing sub-threshold cores in many-core architectures, we use the proposed processor as simple basic cores in a many-core architecture. Results: In comparison with the baseline architecture, these modifications reduce the program memory size about 42% in average. In addition, data memory accesses are reduced about 60% in average, and more than 90% speed-up is achieved. According to the improvements in total execution time (93%) and power consumption (27%), the total consumed energy is reduced about 95% in average with at most 2.6% area overhead and without increasing the process variation effects on processor specifications. Conclusion: The results show that for parallel applications, such as FFT in LTE standard, exploiting sub-threshold processors in a many-core architecture not only can satisfy the required performance, but also reduce the power consumption about 50% or even more.

Authors

B. Soltani Farani

Electrical and Computer Engineering Department, University of Tehran, Tehran, Iran

H. Dorosti

Computer Engineering Department, Shahid Rajaee Teacher Training University, Tehran, Iran.

M. Salehi

Electrical and Computer Engineering Department, University of Tehran, Tehran, Iran

Si M. Fakhraie

Electrical and Computer Engineering Department, University of Tehran, Tehran, Iran

مراجع و منابع این Paper:

لیست زیر مراجع و منابع استفاده شده در این Paper را نمایش می دهد. این مراجع به صورت کاملا ماشینی و بر اساس هوش مصنوعی استخراج شده اند و لذا ممکن است دارای اشکالاتی باشند که به مرور زمان دقت استخراج این محتوا افزایش می یابد. مراجعی که مقالات مربوط به آنها در سیویلیکا نمایه شده و پیدا شده اند، به خود Paper لینک شده اند :
  • [1] H. Iwai, “echnology Roadmap for 22nm and beyond (invited paper),” ...
  • [2] ISSCC Trends, 2013. ...
  • [3] H. Nejatollahi. M. E. Salehi, “Voltage scaling and dark silicon ...
  • [4] International Technology Roadmap for Semiconductors, 2013. ...
  • [5] H. Dorosti. A. Teymouri. S. M. Fakhraie. M. E. Salehi, ...
  • [6] A. Wang, B. H. Calhoun, A. P. Chandrakasan, Design for ...
  • [7] J. L. Hennessy, D. A. Patterson, Computer Architecture: A Quantitative ...
  • [8] B. Zhai,S. Pant, L. Nazhandali, S. Hanson, J. Olson, A. ...
  • [9] J.  Constantin,  A.  Dogan,  O.  Andersson,  P.  Meinerzhagen, J. Rodrigues, ...
  • [10] N.    Ickes,     D.    Finchelstein,     A.    Chandrakasan, " A         10-pj/instruction,  4-MIPS  ...
  • [11] V. Ekanayake, C. Kelly, R. Manohar, “An ultra low-power processor ...
  • [12] V. Ekanayake,  I. Kelly,  C.,  R. Manohar, "BitSNAP: Dynamic significance ...
  • [13] B. Warneke, K. Pister, "An utra-low energy microcontroller for Smart ...
  • [14] M. Hempstead, N. Tripathi, P. Mauro, G.-Y. Wei, D. Brooks, ...
  • [15] M.  Hempstead, D.  Brooks, G.-Y.  Wei, “An accelerator-based wireless sensor ...
  • [16] L.  Nazhandali,  B.  Zhai,  J.  Olson, A.  Reeves, M.  Minuth, ...
  • [17] B.  Zhai,  L.  Nazhandali,  J.  Olson, A.  Reeves, M.  Minuth, ...
  • [18] L.  Nazhandali, M.  Minuth, B.  Zhai, J.  Olson, T.  Austin, ...
  • [19] F. J. Pollack, "New microarchitecture challenges in the coming generations ...
  • [20] S. Borkar, " Thousand core chips:  A technology perspective," in ...
  • [21] M. Aliasgari. A. Abbasfar. S. Fakhraie. “Coding techniques to mitigate ...
  • [22] A. Salari, S. Fakhraie, A. Abbasfar, “Algorithm and FPGA implementation ...
  • [23] I.  Kelly, C., V.  Ekanayake, R.  Manohar, “SNAP:  A  sensor-network ...
  • [24] Y. Pu, G. Samson, C. Shi, D. Park, K. Easton, ...
  • [25] H. Cherupalli, H. Duwe, W. Ye, R. Kumar, J. ...
  • [26] S. Yin, P. Ouyang, J. Yang, T. Lu, X. Li, ...
  • [27] M. Wang, N. Yu, W. Ma, Q. Sheng, W. Zhang, ...
  • [28] P.  Meinerzhagen,  S.  Sherazi,  A.  Burg, J.  Rodrigues, “Benchmarking of ...
  • [29] L. Nazhandali, M. Minuth, T. Austin, "Sensebench:  toward an accurate ...
  • [30] S. Mysore, B. Agrawal, F. Chong, T. Sherwood, "Exploring the ...
  • [31] A. Srivastava, D. Sylvester, D. Blaauw, Statistical Analysis and Optimization ...
  • [32]S. Sarangi, B. Greskamp, R. Teodorescu, J. Nakano, A. Tiwari, ...
  • [33]LTE; Evolved Universal Terrestrial Radio Access (E-UTRA); Physical channels and ...
  • [34]   LTE in a Nutshell: The Physical Layer, Telesystem Innovations, ...
  • [35]   T. Patyk, D. Guevorkian, T. Pitkanen, P. Jaaskelainen, J. ...
  • [36] S. Y. Peng, K. T. Shr, C. M. Chen, Y. ...
  • [37]C. H. Yang, T. H. Yu, D. Markovic, “Power and ...
  • نمایش کامل مراجع