بهینه سازی کدهای VHDL برای سنتز

Publish Year: 1380
نوع سند: مقاله کنفرانسی
زبان: Persian
View: 3,069

This Paper With 8 Page And PDF Format Ready To Download

  • Certificate
  • من نویسنده این مقاله هستم

استخراج به نرم افزارهای پژوهشی:

لینک ثابت به این Paper:

شناسه ملی سند علمی:

ISCEE04_043

تاریخ نمایه سازی: 14 آذر 1390

Abstract:

ضمن معرفی خلاصه وار مراحلی که در وسایل سنتز کننده به هنگام اجرای یک پروسه سنتز استفاده می شود راه کارهایی برای بهینه نویسی کدهای سنتز پذیر VHDL معرفی می گردد البته با توجه به الگوریتم های استفاده شده دراین وسایل و محدودیت های موردنظر توپولوژی های مختلف از یک بیان رفتاری مدار ممکن است حاصل شود دراین مقاله با تاکید بر بعضی مهارتهای برنامه نویسی سعی می شود از الگوریتمهای سنتز نتایج بهینه ای بدست آورد.

Authors

محمدعلی محرابی

دانشکده برق و کامپیوتر دانشگاه شهید بهشتی